Welcome![Sign In][Sign Up]
Location:
Search - modulation verilog

Search list

[VHDL-FPGA-VerilogFSKDFSK

Description: fsk调制与解调,此程序经过验证,可以运用,通讯方面的同学可以用-FSK modulation and demodulation, this procedure has been verified and can use communications students can use
Platform: | Size: 3072 | Author: we | Hits:

[matlabam

Description: AM调制及单边带调制 程序规范易懂,It will be helpful to you!-AM modulated single-sideband modulation procedures and norms understandable, It will be helpful to you!
Platform: | Size: 1024 | Author: 凌珏 | Hits:

[VHDL-FPGA-Verilogdds_ise7.1_su

Description: 用Verilog语言实现信号发生器,包括AM,FM,PM,ASK,PSK,FSK调制。-using Verilog language signal generator, including AM, FM, PM, ASK, PSK, FSK modulation.
Platform: | Size: 5120 | Author: lee | Hits:

[SCMDDS

Description: 基于fpga,采用quartus2的DDS信号发生器,采用高速DAC908为数模芯片,并可通过51单片机送入调制信号进行FM调制-Based on the fpga, the use of the DDS signal generator quartus2 using DAC908 number of high-speed chip module, and passed into 51 single-chip FM modulation signal modulation
Platform: | Size: 2745344 | Author: 张新 | Hits:

[VHDL-FPGA-Verilogsignal

Description: 产生sinx+cosx波形 用于正交调制得测试信号 一次输出正交和同相分量 verilog语言-Sinx cosx generate quadrature modulation waveforms for a test signal and an output quadrature-phase component with the Verilog language
Platform: | Size: 230400 | Author: 杨洁 | Hits:

[VHDL-FPGA-VerilogFPGA-based-DAC

Description: 用fpga实现的DA转换器,有说明和源码,VDHL文件。 A PLD Based Delta-Sigma DAC Delta-Sigma modulation is the simple, yet powerful, technique responsible for the extraordinary performance and low cost of today s audio CD players. The simplest Delta-Sigma DAC consists of a Delta-Sigma modulator and a one bit DAC. Since, both of these components can be realized using digital circuits, it is possible to implement a low precision Delta-Sigma DAC using a PLD.-Using FPGA to achieve the DA converter, has descriptions and source code, VDHL document. A PLD Based Delta-Sigma DACDelta-Sigma modulation is the simple, yet powerful, technique responsible for the extraordinaryperformance and low cost of today s audio CDplayers. The simplest Delta-Sigma DAC consists of aDelta-Sigma modulator and a one bit DAC. Since , both of these components can be realized usingdigital circuits, it is possible to implement a lowprecision Delta-Sigma DAC using a PLD.
Platform: | Size: 58368 | Author: 开心 | Hits:

[matlab16qam

Description: 用matlab做的qam的基本原理仿真,清晰明了,有相应结果,可作为qam调制的入门教程。-QAM matlab to do with the basic principles of simulation, clarity, have corresponding results can be used as QAM Modulation Tutorial.
Platform: | Size: 1024 | Author: 阿飞 | Hits:

[Othercode_for_wireless_communication

Description: 包含软件无线电、dds、滤波器设计、数字调制解调等常用无线通信设计的matlab\verilog源码-Contains software radio, dds, filter design, digital modulation and demodulation of wireless communication, such as commonly used design matlabverilog source
Platform: | Size: 197632 | Author: 李大鹏 | Hits:

[Communication16pam

Description: 用VERILOG语言实现16QAM的数字调制的程序,已经在ISE10.1版本中调试通过-Using Verilog language realize 16QAM digital modulation procedures are in the debug version ISE10.1 through
Platform: | Size: 7684096 | Author: 王莉 | Hits:

[VHDL-FPGA-VerilogPWM

Description: 脉冲宽度调制,VHDL代码编写,包括QUARTUSII和MODELSIM工程以及testbench-Pulse width modulation, VHDL coding, including QUARTUSII and ModelSim engineering and Testbench
Platform: | Size: 348160 | Author: horse | Hits:

[VHDL-FPGA-VerilogFPGAdezizhixingSPWMboChengXu

Description: 基于FPGA的自治型SPWM波形发生器的设计!正弦脉宽调制(SPWM)技术在以电压源逆变电路为核心的电力电子装置中有着广泛的应用,如何产生SPWM脉冲序列及其实现手段是PWM技术的关键。大家共同探讨哈!-FPGA based SPWM autonomy-based waveform generator design! Sinusoidal pulse width modulation (SPWM) technology in the voltage source inverter circuit as the core of the power electronic devices have a wide range of applications, how to generate SPWM pulse sequence and its implementation means PWM technology is the key. Kazakhstan investigate everyone!
Platform: | Size: 4096 | Author: 小喻 | Hits:

[VHDL-FPGA-VerilogAM

Description: FPGA内AM调制工程。内带调制波、载波生成。关键词:FPGA verilog AM DDS-AM modulation works within the FPGA. Within the band modulation wave generated carrier. Key words: FPGA verilog AM DDS
Platform: | Size: 1687552 | Author: baixiangzhou | Hits:

[VHDL-FPGA-Verilog16qam——modulation

Description: verilog编写的16qam调制程序,将所有东西装入工程,运行mmm16主程序。其中载波为一个周期采十个点,并乘以2^8-1取整数。在quartusII运行通过。-verilog modulation procedures 16qam prepared all things into works mmm16 to run the main program. One carrier for a cycle of 10 points taken, and multiplied by an integer from 2 ^ 8-1. Running through the quartusII.
Platform: | Size: 5120 | Author: 王力宏 | Hits:

[Program docDSP--base--on-FPGA

Description: 这是一本国外的经典教材,讲述了现阶段所有数字信号处理的FPGA实现,从第二章讲述二进制的算法到现阶段数字信号处理的研究热点,基于FPGA实现!包括FIR,自适应滤波,纠错码,调制解调,加密,傅立叶变换等等。更难能可贵的是每个例子都有VHDL和Verilog代码-This is a classic foreign materials, described at this stage all the digital signal processing FPGA, from the second chapter about the binary digital signal processing algorithms to the current stage of research focus, based on FPGA implementation! Including FIR, adaptive filtering, error-correcting codes, modulation and demodulation, encryption, Fourier transform and so on. Even more valuable is that each case has a VHDL and Verilog code! !
Platform: | Size: 7067648 | Author: 刘伟 | Hits:

[VHDL-FPGA-Verilogdds_final

Description: 使用Verilog HDL语言实现的一个DDS,可以发生0-10Mhz正弦波、方波、三角波,频率步进可调,FM调制、AM调制,调制度可调。DA芯片为8位并行,160MHz-Using the Verilog HDL language implementation of a DDS, can occur 0-10Mhz sine, square, triangle wave, frequency step tunable, FM modulation, AM modulation, adjustable modulation. DA-chip 8-bit parallel, 160MHz
Platform: | Size: 1638400 | Author: nostalgia | Hits:

[assembly languageMSK

Description: 用VERILOG编写的MSK调制模块的程序代码 简单易懂-MSK modulation with a VERILOG module written in easy to understand code
Platform: | Size: 2013184 | Author: 龙兰飞 | Hits:

[VHDL-FPGA-Verilogmsk

Description: msk调制verilog HDL 实现,对学习微电子的人很有帮助-msk modulation verilog HDL to achieve, people very helpful in learning Microelectronics
Platform: | Size: 1024 | Author: 李博 | Hits:

[VHDL-FPGA-VerilogverilogClassicSamples

Description: verilog常用程序及其仿真结果整理,包括LCD,LED,AD采集,URAT,电子琴,电梯控制,自动售货机控制,出租车计价器,电子时钟,频率计,MPSK调制与解调-verilog common finishing process and its simulation results, including LCD, LED, AD collection, URAT, keyboard, elevator control, vending machine control, taxi meter, electronic clock, frequency counter, MPSK modulation and demodulation, etc.
Platform: | Size: 1278976 | Author: ZhangYan | Hits:

[VHDL-FPGA-VerilogMATLAB-and-verilog

Description: 1 采用正弦波,方波进行同步调制,实现调制信号、已调信号、解调信号的波形、频谱以及解调器输入输出信噪比的关系。 2 采用Verilog语言编写有符号的五位乘法器 3 实现数字与模拟调制-A sine wave, square wave synchronous modulation to achieve the modulation signal, the modulated signal, the demodulated signal waveform, spectrum and signal to noise ratio of the demodulator input and output relationship. 2 using Verilog language has signed five digital and analog multiplier 3 modulation
Platform: | Size: 559104 | Author: 许学真 | Hits:

[VHDL-FPGA-Verilogmodulation-and-demodulation

Description: 通过verilog语言实现各种基本信号的调制解调过程,包括2psk,qpsk,ppm(Realize the modulation and demodulation process of various basic signals through verilog language, including 2psk, qpsk, ppm)
Platform: | Size: 5120 | Author: 好好学习8 | Hits:
« 12 3 4 5 6 7 »

CodeBus www.codebus.net